Article ID Journal Published Year Pages File Type
7151285 Solid-State Electronics 2011 7 Pages PDF
Abstract
A simple approach for manufacturing quasi-planar bulk MOSFET structures is demonstrated and shown to be effective not only for improving device performance but also for reducing variation in 6T-SRAM read and write margins, in an early 28 nm CMOS technology. With optimization of the pocket implant doses, voltage scaling is facilitated. Since its benefits increase with decreasing channel width, quasi-planar bulk MOSFET technology should be advantageous for future CMOS technology generations (22 nm and beyond).
Related Topics
Physical Sciences and Engineering Engineering Electrical and Electronic Engineering
Authors
, , , , , , , , , , , , ,