Article ID Journal Published Year Pages File Type
425615 Future Generation Computer Systems 2015 9 Pages PDF
Abstract

•Proposed a novel MSR cache design for multiprocessors to enhance security.•MSR cache is used at L2 cache level to give extra data redundancy.•MOESI protocol is proposed to improve the write hit rate.•Soft errors in L2 cache blocks could be corrected with the redundancy data in MSR cache.

The modern chip multiprocessors are vulnerable to transient faults caused by either on-purpose attacks or system mistakes, especially for those with large and multi-level caches in cloud servers. In this paper, we propose a modified/shared replication cache to keep a redundancy for the latest accessed and modified/shared L2 cache lines. According to the experiments based on Multi2Sim, this cache with proper size can provide considerable data reliability. In addition, the cache can reduce the average latency of memory hierarchy for error correction, with only about 20.2% of L2 cache energy cost and 2% of L2 cache silicon overhead.

Related Topics
Physical Sciences and Engineering Computer Science Computational Theory and Mathematics
Authors
, , , , ,