Article ID Journal Published Year Pages File Type
461584 Microprocessors and Microsystems 2012 12 Pages PDF
Abstract

In this article, we present the Beefarm infrastructure for FPGA-based multiprocessor emulation, a popular research topic of the last few years both in FPGA and computer architecture communities. We explain how we modify and extend a MIPS-based open-source soft core, we discuss various design tradeoffs to make efficient use of the bounded resources available on chip and we demonstrate superior scalability compared to traditional software instruction set simulators through experimental results running Software Transactional Memory (STM) benchmarks. Based on our experience, we comment on the pros and cons and the future trends of using hardware-based emulation for multicore research.

Related Topics
Physical Sciences and Engineering Computer Science Computer Networks and Communications
Authors
, , , , , , , ,