Article ID Journal Published Year Pages File Type
558949 Biomedical Signal Processing and Control 2008 7 Pages PDF
Abstract

The paper deals with some aspects of the subtraction procedure, which removes the power-line interference (PLI) without affecting the components intrinsic to ECG. This procedure is based on the following principles: the interference is cancelled in linearly going ECG segments that have near to zero frequency content using moving averaging; the extracted samples are saved in a buffer and are then subtracted from the remaining parts of the signals. The accuracy of the subtraction procedure is analysed and improved in the cases of non-multiplicity between the sampling rate and the rated interference frequency. Extrapolation filters are applied over the buffer samples. Experiments with synthesised and real signals are carried out to assess the filter's stability. The results obtained show that the improved subtraction procedure removes the PL interference from ECG signals regardless of the type of multiplicity, odd or even, between the sampling rate and the power-line frequency.

Related Topics
Physical Sciences and Engineering Computer Science Signal Processing
Authors
, ,