Article ID Journal Published Year Pages File Type
548856 Microelectronics Reliability 2016 13 Pages PDF
Abstract

•We analyze how the area and delay overheads change with the granule-size chosen.•We calculate the optimum granularity that offers minimum area overhead.•We extend the design approach making it capable of tolerating multiple faults.•We incorporate hot-standby topology that makes the fault tolerant mechanism online.

While designing fault tolerant systems using dynamic reconfiguration, choice regarding the size of the granule influences the area, the power and the delay overheads. In this paper, attempt has been made to determine the optimum granule size that would incur minimum overhead vis-à-vis other design parameters such as the number of faults to be tolerated etc. In order to facilitate the design process, mathematical expressions have been provided showing the relationships among the area of single granule, the number of the external connections, the area of the reconfiguration multiplexers and the probability of failure of the system. Optimum granule-sizes in designing various fault tolerant circuits from ripple carry adder to CORDIC as well as Viterbi decoder have been derived.

Related Topics
Physical Sciences and Engineering Computer Science Hardware and Architecture
Authors
, ,