Article ID Journal Published Year Pages File Type
543677 Microelectronic Engineering 2008 4 Pages PDF
Abstract

Current resist materials are unable to simultaneously meet the resolution, sensitivity and line width roughness specifications of the 32 nm node. Molecular resists have small carbon rich molecules and have generated significant recent interest with their potential for high resolution and etch durability and lower linewidth roughness than conventional polymeric materials. A chemically amplified fullerene derivative based resist is presented here. The resist consisted of the fullerene derivative MF07-01, an epoxide crosslinker and a photoacid generator. The sensitivity of this resist was shown to be between 5 and 10 μC/cm2 at 20 keV. Using 30 keV electron beam exposure sparse patterns with 12 nm resolution were demonstrated, whilst for dense patterns a half-pitch of 20 nm could be achieved. Linewidth roughness values of between 2.4 and 6 nm were seen, dependent on the exposure dose and feature size. The etch durability of the fullerene CA system was shown to be comparable to that of SAL601, a common novolac based commercial resist. Significantly the process latitude of this resist system is very wide. The dense line and space patterns were achieved whilst varying the post application bake between 75 and 125 °C for 10 min, the post exposure bake between 90 and 130 °C for 1.5–9 min, and the exposure dose between 120 and 300 pC/cm (and up to 500 pC/cm for sparse features).

Related Topics
Physical Sciences and Engineering Computer Science Hardware and Architecture
Authors
, , , , , , ,