کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
543138 871633 2010 7 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum
چکیده انگلیسی

Primarily used as etch mask, single layer hydrogen silsesquioxane has never been investigated for lift-off technique. In this article, we propose a new technique where a single layer of hydrogen silsesquioxane, a negative tone electron beam resist, is used to make lift-off of germanium and platinum. Removal of exposed hydrogen silsesquioxane is tested for various concentrations of hydrofluoric acid. Ultrasonic agitation is also used to reduce the formation of flakes due to accumulation of matter (evaporated metal in our case) along the sidewalls of the lift-off narrow slots. Results demonstrate potential in applying the hydrogen silsesquioxane as a negative tone lift-off resist to pattern nanometer scale features into germanium and platinum layers.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microelectronic Engineering - Volume 87, Issue 10, October 2010, Pages 1872–1878
نویسندگان
, , , , , ,