کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
6873225 1440631 2018 10 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Accurately modeling the on-chip and off-chip GPU memory subsystem
ترجمه فارسی عنوان
با دقت مدل سازی زیرسیستم های پردازنده های تراشه و پردازنده های خرد تراشه را دقیق توصیف می کنیم
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر نظریه محاسباتی و ریاضیات
چکیده انگلیسی
To evaluate and quantify our claims, we accurately modeled the aforementioned memory components in an extended version of the state-of-the-art Multi2Sim heterogeneous CPU-GPU processor simulator. Experimental results show important deviations, which can vary the final system performance provided by the simulation framework up to a factor of three. The proposed GPU model has been compared and validated against the original framework and the results from a real AMD Southern-Islands 7870HD GPU.
ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Future Generation Computer Systems - Volume 82, May 2018, Pages 510-519
نویسندگان
, , , ,