• Daneshyari
  • Topics
    • Physical Sciences and Engineering
      Life Sciences
      Health Sciences
      Social Sciences and Humanities
Daneshyari Hardware and Architecture Journas Latest Articles

Hardware and Architecture Research Articles

Characterization of negative-type photoresists containing polyhedral oligomeric silsesquioxane methacrylate
Fulltext Access 5 Pages 2008
Polymers below the critical molecular weight for thermal imprint lithography
Fulltext Access 5 Pages 2008
Towards 2–10 nm electron-beam lithography: A quantitative approach
Fulltext Access 5 Pages 2008
Improvement of high resolution lithography by using amorphous carbon hard mask
Fulltext Access 5 Pages 2008
Measurement of the gauge factor of carbon fiber and its application to sensors
Fulltext Access 5 Pages 2008
Feasibility study of TFT-LCD array tester using low voltage micro-columns
Fulltext Access 5 Pages 2008
Development of a new porous pin chuck for lithography
Fulltext Access 5 Pages 2008
Investigation of high-resolution contact printing
Fulltext Access 5 Pages 2008
Polarimetry of illumination for 193 nm immersion lithography
Fulltext Access 5 Pages 2008
Fabrication and electrical characteristics of Schottky diode based on organic material
Fulltext Access 5 Pages 2008
Thermal stability of Ni silicide films on heavily doped n+ and p+ Si substrates
Fulltext Access 5 Pages 2008
Minimizing linewidth roughness in Step and Flash Imprint Lithography
Fulltext Access 5 Pages 2008
A non-fluorine mold release agent for Ni stamp in nanoimprint process
Fulltext Access 5 Pages 2008
Influence of mechanical stress on adhesion properties of DC magnetron sputtered Ti/NiV/Ag layers on n+Si substrate
Fulltext Access 5 Pages 2008
Anomalous acid diffusion in a triphenylene molecular resist with melamine crosslinker
Fulltext Access 5 Pages 2008
Changes in effective work function of HfxRu1−x alloy gate electrode
Fulltext Access 5 Pages 2008
Calculation from the current–voltage and capacitance–voltage measurements of characteristics parameters of Cd/CdS/n-Si/Au-Sb structure with CdS interface layer grown on n-Si substrate by SILAR method
Fulltext Access 5 Pages 2008
Electrical and photovoltaic properties of a n-Si/chitosan/Ag photodiode
Fulltext Access 5 Pages 2008
Microstructural study of copper free air balls in thermosonic wire bonding
Fulltext Access 5 Pages 2008
Effective formation of interface controlled Y2O3 thin film on Si(1 0 0) in a metal–(ferroelectric)–insulator–semiconductor structure
Fulltext Access 5 Pages 2008
A study on the improved performances of OLED using CMP process parameters determined by DOE method
Fulltext Access 5 Pages 2008
Performance of current mirror with high-k gate dielectrics
Fulltext Access 5 Pages 2008
The effects of the temperature and annealing on current–voltage characteristics of Ni/n-type 6H–SiC Schottky diode
Fulltext Access 5 Pages 2008
Characteristics of negative electron beam resists, ma-N2410 and ma-N2405
Fulltext Access 5 Pages 2008
A method for AlCu interconnect electromigration performance predicting and monitoring
Fulltext Access 5 Pages 2008
The effect of Si addition and Ta diffusion barrier on growth and thermal stability of NiSi nanolayer
Fulltext Access 5 Pages 2008
Integrated microfluidic–microoptical systems fabricated by dry etching of soda-lime glass
Fulltext Access 5 Pages 2008
Pentacene thin-film transistors with sol–gel derived amorphous Ba0.6Sr0.4TiO3 gate dielectric
Fulltext Access 5 Pages 2008
Characterization of polymer matrix and low melting point solder for anisotropic conductive film
Fulltext Access 5 Pages 2008
Analysis of irregular increase in sheet resistance of Ni silicides on transition from NiSi to NiSi2
Fulltext Access 5 Pages 2008
Two-dimensional analysis of the surface state effects in 4H-SiC MESFETs
Fulltext Access 5 Pages 2008
A novel source/drain on void (SDOV) MOSFET implemented by local co-implantation of hydrogen and helium
Fulltext Access 5 Pages 2008
A novel pressure sensor with a PDMS diaphragm
Fulltext Access 5 Pages 2008
Real-time gripping detection for a mechanically actuated microgripper
Fulltext Access 5 Pages 2008
Etching of sub-micrometer structures through Stencil
Fulltext Access 5 Pages 2008
Three-dimensional projection mask-less patterning (PMLP) of micro-lenses and cones: Monitoring and modelling of ion multi-beam kinetic sputtering in GaAs
Fulltext Access 5 Pages 2008
RET simulations for SLM-based maskless lithography
Fulltext Access 5 Pages 2008
Analysis of time dependent polymer deformation based on a viscoelastic model in thermal imprint process
Fulltext Access 5 Pages 2008
Custom-specific UV nanoimprint templates and life-time of antisticking layers
Fulltext Access 5 Pages 2008
Analysis of the filling behaviour of trenches via air bubble tracking
Fulltext Access 5 Pages 2008
Nano-scale patterning using the roll typed UV-nanoimprint lithography tool
Fulltext Access 5 Pages 2008
Effect of 6 MeV electron irradiation on electrical characteristics of the Au/n-Si/Al Schottky diode
Fulltext Access 5 Pages 2008
An optical study of alumina films thermal evolution upon ammonia annealing
Fulltext Access 5 Pages 2008
Resistive switching effects of HfO2 high-k dielectric
Fulltext Access 5 Pages 2008
Vertically stacked non-volatile memory devices – material considerations
Fulltext Access 5 Pages 2008
Organic memory cells based on the switching by nanoparticles containing thin films
Fulltext Access 5 Pages 2008
Electronic structure and magnetic properties of Ca3Co2O6 cobaltites: Intrachain magnetic ordering
Fulltext Access 5 Pages 2008
Author Index - EMRS H
Fulltext Access 5 Pages 2008
Analysis of interface states and series resistance of MIS Schottky diodes using the current–voltage (I–V) characteristics
Fulltext Access 5 Pages 2008
Electrical and mechanical characterization of an anisotropic conductive adhesive with a low melting point solder
Fulltext Access 5 Pages 2008
Comparative study of the influence of the solvent on the catalytic growth of carbon nanotubes
Fulltext Access 5 Pages 2008
Analysis of electrical characteristics of Au/SiO2/n-Si (MOS) capacitors using the high–low frequency capacitance and conductance methods
Fulltext Access 5 Pages 2008
Alignment of liquid crystals on a topographically nano-patterned polymer surface prepared by a soft-imprint technique
Fulltext Access 5 Pages 2008
XPS analysis with an ultra clean vacuum substrate carrier for oxidation and airborne molecular contamination prevention
Fulltext Access 5 Pages 2008
Yttrium silicide formation and its contact properties on Si(1 0 0)
Fulltext Access 5 Pages 2008
A Hafnium interlayer method to improve the thermal stability of NiSi film
Fulltext Access 5 Pages 2008
A 4-in.-based single-step UV-NIL tool using a low vacuum environment and additive air pressure
Fulltext Access 5 Pages 2008
Fabrication of soft reflective microoptical elements using a replication process
Fulltext Access 6 Pages 2008
Characterization of various alloying metal oxide nanoparticles embedded in HfOxNy as charge trapping nodes in nonvolatile memory devices
Fulltext Access 6 Pages 2008
Performance characterization of III–V power devices
Fulltext Access 6 Pages 2008
Parallel angle resolved XPS investigations on 12 in. wafers for the study of W and WSix oxidation in air
Fulltext Access 6 Pages 2008
Optimization of board-level thermomechanical reliability of high performance flip-chip package assembly
Fulltext Access 6 Pages 2008
SiC MOSFETs with thermally oxidized Ta2Si stacked on SiO2 as high-k gate insulator
Fulltext Access 6 Pages 2008
Correlation between barrier heights and ideality factors of H-terminated Sn/p-Si(1 0 0) Schottky barrier diodes
Fulltext Access 6 Pages 2008
Metallic bonding methodology for heterogeneous integration of optoelectronic dies to CMOS circuits
Fulltext Access 6 Pages 2008
Analysis on the mutual inductance of planar transformer in CMOS technology
Fulltext Access 6 Pages 2008
Pattern replication in polypropylene films by hot embossing
Fulltext Access 6 Pages 2008
Frequency and voltage effects on the dielectric properties and electrical conductivity of Al–TiW–Pd2Si/n-Si structures
Fulltext Access 6 Pages 2008
Stochastic simulation studies of molecular resists for the 32 nm technology node
Fulltext Access 6 Pages 2008
Image reversal revisited
Fulltext Access 6 Pages 2008
Work function control at metal high-dielectric-constant gate oxide interfaces
Fulltext Access 6 Pages 2008
Thermal and plasma enhanced atomic layer deposition ruthenium and electrical characterization as a metal electrode
Fulltext Access 6 Pages 2008
Dielectric anisotropy in the integration of Cu–SiLK™ system
Fulltext Access 6 Pages 2008
Study on the frequency dependence of electrical and dielectric characteristics of Au/SnO2/n-Si (MIS) structures
Fulltext Access 6 Pages 2008
Electroless plating of copper on AZ31 magnesium alloy substrates
Fulltext Access 6 Pages 2008
Fabrication of optical grayscale masks for tapered microfluidic devices
Fulltext Access 6 Pages 2008
Fabrication of large area nano-rings for MRAM application
Fulltext Access 6 Pages 2008
Role of abrasives in high selectivity STI CMP slurries
Fulltext Access 6 Pages 2008
Secondary electron detection for distributed axis electron beam systems
Fulltext Access 6 Pages 2008
Electrical method of measuring physical thickness and nitrogen concentration of silicon oxynitride gate dielectric for MOSFETs
Fulltext Access 6 Pages 2008
Quantum-mechanical effects in nanometer scale MuGFETs
Fulltext Access 6 Pages 2008
Reactive-ion etching of Ge2Sb2Te5 in CF4/Ar plasma for non-volatile phase-change memories
Fulltext Access 6 Pages 2008
Electrical and interface state density properties of the 4H-nSiC/[6,6]-phenyl C61-butyric acid methyl ester/Au diode
Fulltext Access 6 Pages 2008
Patterning a nanowell sensor biochip for specific and rapid detection of bacteria
Fulltext Access 6 Pages 2008
Cracking energy estimation of ultra low-k package using novel prediction approach combined with global–local modeling technique
Fulltext Access 6 Pages 2008
Dielectric properties and ac electrical conductivity studies of MIS type Schottky diodes at high temperatures
Fulltext Access 6 Pages 2008
The profile of temperature and voltage dependent series resistance and the interface states in (Ni/Au)/Al0.3Ga0.7N/AlN/GaN heterostructures
Fulltext Access 6 Pages 2008
An impedance-based approach to predict the state-of-charge for carbon-based supercapacitors
Fulltext Access 6 Pages 2008
Resistance switching in amorphous and crystalline binary oxides grown by electron beam evaporation and atomic layer deposition
Fulltext Access 6 Pages 2008
Effect of gas mixing ratio on etch behaviors of Ba2Ti9O20 (BTO) and Pt thin films in Cl2/Ar inductively coupled plasma
Fulltext Access 6 Pages 2008
Anodic dissolution characteristics and electrochemical migration lifetimes of Sn solder in NaCl and Na2SO4 solutions
Fulltext Access 6 Pages 2008
Complex permittivity characterization of benzocyclobutene for terahertz applications
Fulltext Access 6 Pages 2008
DNA-based organic-on-inorganic devices: Barrier enhancement and temperature issues
Fulltext Access 6 Pages 2008
Electromagnetic force-assisted imprint technology for fabrication of submicron-structure
Fulltext Access 6 Pages 2008
Effect of plasma treatments on interface adhesion between SiOCH ultra-low-k film and SiCN etch stop layer
Fulltext Access 6 Pages 2008
Novel hydrogen gas sensor based on single ZnO nanorod
Fulltext Access 6 Pages 2008
Flare mitigation strategies in extreme ultraviolet lithography
Fulltext Access 6 Pages 2008
Studies on dielectric relaxation and defect generation for reliability assessments in ultrathin high-k gate dielectrics on Ge
Fulltext Access 6 Pages 2008
E-beam lithography of catalyst patterns for carbon nanotube growth on insulating substrates
Fulltext Access 6 Pages 2008
Microstructure and electric property of MgO/Fe/MgO tri-layer films forming a nano-granular system
Fulltext Access 6 Pages 2008
<< < 194 195 196 197 198 > >>
Related Topics
Earthquake Articles
1351 Papers
CAM Articles
1272 Papers
Morphogenesis Articles
1065 Papers
Earthquakes Articles
770 Papers
ICT Articles
638 Papers
Artificial Intelligence Articles
Computational Theory and Mathematics Articles
Computer Graphics and Computer-Aided Design Articles
Computer Networks and Communications Articles
Computer Science (General) Articles
Computer Science Applications Articles
Computer Vision and Pattern Recognition Articles
Hardware and Architecture Articles
Human-Computer Interaction Articles
Information Systems Articles
Signal Processing Articles
Software Articles
All Topics
Related Journals
Artificial Intelligence Journals
Computational Theory and Mathematics Journals
Computer Graphics and Computer-Aided Design Journals
Computer Networks and Communications Journals
Computer Science (General) Journals
Computer Science Applications Journals
Computer Vision and Pattern Recognition Journals
Hardware and Architecture Journals
Human-Computer Interaction Journals
Information Systems Journals
Signal Processing Journals
Software Journals
International Journal of Critical Infrastructure Protection Journal
Entertainment Computing Journal
Information Systems Journal
Future Generation Computer Systems Journal
Journal of Parallel and Distributed Computing Journal
Digital Investigation Journal
Journal of Systems Architecture Journal
Microprocessors and Microsystems Journal
Nano Communication Networks Journal
Performance Evaluation Journal
Pervasive and Mobile Computing Journal
Physical Communication Journal
Parallel Computing Journal
Card Technology Today Journal
Displays Journal
Integration, the VLSI Journal Journal
Microelectronic Engineering Journal
Microelectronics Journal Journal
Microelectronics Reliability Journal

Daneshyari.com

دسترسی سریع

  • صفحه اصلی دانشیاری
  • دسته بندی موضوعی مقالات
  • مقالات ترجمه شده
  • سفارش ترجمه مقاله
  • سفارش تولید محتوا
  • تولید محتوا

ارتباط

  • ورود
  • عضویت
  • تماس و پشتیبانی
  • تبلیغات

English Website

  • Home
  • Physical Sciences and Engineering
  • Life Sciences
  • Health Sciences
  • Social Sciences and Humanities