کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
461308 696585 2015 9 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Thermal aware floorplanning incorporating temperature dependent wire delay estimation
ترجمه فارسی عنوان
طرح طبقه بندی آگاه حرارتی شامل برآورد تاخیر سیم تاخیر سیم
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر شبکه های کامپیوتری و ارتباطات
چکیده انگلیسی

Temperature has a negative impact on metal resistance and thus wire delay. In state-of-the-art VLSI circuits, large thermal gradients usually exist due to the uneven distribution of heat sources. The difference in wire temperature can lead to performance mismatch because wires of the same length can have different delay.Traditional floorplanning algorithms use wirelength to estimate wire performance. In this work, we show that this does not always produce a design with the shortest delay and we propose a floorplanning algorithm taking into account temperature dependent wire delay as one metric in the evaluation of a floorplan. In addition, we consider other temperature dependent factors such as congestion and interconnect reliability.The experiment results show that a shorter delay can be achieved using the proposed method.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microprocessors and Microsystems - Volume 39, Issue 8, November 2015, Pages 807–815
نویسندگان
, , , ,