کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
462581 696863 2014 10 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Low-cost scratchpad memory organizations using heterogeneous cell sizes for low-voltage operations
ترجمه فارسی عنوان
سازمان های حافظه کم هزینه با استفاده از اندازه سلول های ناهمگن برای عملیات کم ولتاژ
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر شبکه های کامپیوتری و ارتباطات
چکیده انگلیسی

Modern digital signal processors (DSPs) execute diverse applications ranging from digital filters to video decoding. These applications have drastically different arithmetic precision and scratch pad memory (SPM) size requirements. To minimize power consumption, DSPs often support aggressive dynamic voltage/frequency scaling (DVFS) techniques, requiring on-chip memory, such as SPM, to operate at low voltages. However, increasing process variations with aggressive technology scaling have significantly increased the failure rate of on-chip memory designed with small transistors operating at low voltages. Consequently, designs must use either larger and/or more transistors to have memory cells satisfy a target minimum operating voltage (VMINVMIN) under a failure rate constraint. Yet using larger and/or more transistors for the SPM, which consumes a large fraction of the chip area, is costly. In this paper, we first propose SPM designs that exploit (i) the characteristics of applications and (ii) the tradeoffs between memory cell size and VMINVMIN. Our approach can reduce the SPMs chip area by up to 17% and VMINVMIN by up to 52.5 mV. Second, we exploit the error-tolerant characteristics of some applications. Our proposed SPM can support lower VMINVMIN with less mean square error   than a conventional SPM with shortened word width. For error-sensitive applications that require high precision, we can lower VMINVMIN at the cost of reduced memory capacity. This approach may negatively impact the performance of applications with large memory footprints. However, we demonstrate that such applications are typically constrained by their execution latency requirements and are likely to operate at higher voltages/frequencies than applications with smaller memory footprints to satisfy their real-time execution constraints.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microprocessors and Microsystems - Volume 38, Issue 7, October 2014, Pages 707–716
نویسندگان
, , ,