کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
524225 868573 2008 14 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
OpenFPGA CoreLib core library interoperability effort
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر نرم افزارهای علوم کامپیوتر
پیش نمایش صفحه اول مقاله
OpenFPGA CoreLib core library interoperability effort
چکیده انگلیسی

This paper begins by summarizing the goals of the OpenFPGA CoreLib Working Group to facilitate the interoperability of FPGA circuit cores within a variety of FPGA design tools, including high-level programming tools targeting FPGA architectures. This effort is contrasted with other IP reuse efforts. The paper reviews the current approach used by several high-level language compilers to integrate IP within their tool. The CoreLib approach for standardizing this IP integration is proposed followed by an example that demonstrates its utility. Finally, the current state of the effort and future plans are presented.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Parallel Computing - Volume 34, Issues 4–5, May 2008, Pages 231–244
نویسندگان
, , , , , , , , , , ,