کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
544716 871778 2015 11 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits
چکیده انگلیسی


• Investigating the co-dependency of die temperature and bias temperature instability.
• Considering the impact of die temperature in increasing the effect of the BTI.
• Considering the changes in the die temperature due to the BTI-induced threshold voltage alteration.
• Studying the impact of workloads on the degree of the BTI-induced degradation in VLSI circuits.

In this work, we investigate the co-dependency of die temperature and bias temperature instability (BTI) and their combined effect on the lifetime of VLSI circuits. The investigation considers the impact of die temperature in increasing the effect of the BTI as well as changes in the die temperature due to the BTI-induced threshold voltage alterations. In addition, the impact of workloads on the degree of the BTI-induced degradation in VLSI circuits is studied. This impact accounts for the direct influence of the signal probability of the internal nodes under the given workload as well as its indirect influence due to power consumption and temperature changes of the circuits. The study is performed by using a simulation framework that captures dynamic changes in the operating temperature and application workload. Simultaneous consideration of the dynamic workload and operating temperature enables one to accurately predict the circuit lifetime. To assess the accuracy of the proposed approach, the estimated delay degradations caused by the Negative BTI (NBTI) for some large circuits from ISCAS'89 and ITC'99 benchmark suites when circuits are simulated under dynamic (both temperature and workload are updated periodically), semi-static (either temperature or workload is updated periodically), and static (no updating is performed) scenarios are compared. Simulation results obtained in a 45 nm CMOS technology, reveal that the predicted timing degradation in the case of the dynamic scenario is significantly different than those of the other scenarios. The differences ranged from − 135% to + 98% for the considered circuits in this work. The large differences demonstrate that for accurate estimation of the circuit lifetime under the BTI effect, the dynamic scenario should be adopted as part of the standard design flows.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microelectronics Reliability - Volume 55, Issue 8, July 2015, Pages 1152–1162
نویسندگان
, , , , , ,