کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
453619 694983 2016 16 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Characterization and modeling of multicast communication in cache-coherent manycore processors
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر شبکه های کامپیوتری و ارتباطات
پیش نمایش صفحه اول مقاله
Characterization and modeling of multicast communication in cache-coherent manycore processors
چکیده انگلیسی


• Multicast traffic is characterized and modeled with an emphasis on scalability.
• Intensity, concentration and burstiness increase with the system size.
• Growing correlation suggests the use of prediction to optimize NoC designs.
• Simple multicast source predictors achieve modest but promising accuracies.

The scalability of Network-on-Chip (NoC) designs has become a rising concern as we enter the manycore era. Multicast support represents a particular yet relevant case within this context, mainly due to the poor performance of NoCs in the presence of this type of traffic. Multicast techniques are typically evaluated using synthetic traffic or within a full system, which is either simplistic or costly, given the lack of realistic traffic models that distinguish between unicast and multicast flows. To bridge this gap, this paper presents a trace-based multicast traffic characterization, which explores the scaling trends of aspects such as the multicast intensity or the spatiotemporal injection distribution for different coherence schemes. This analysis is the basis upon which the concept of multicast source prediction is proposed, and upon which a multicast traffic model is built. Both aspects pave the way for the development and accurate evaluation of advanced NoCs in the context of manycore computing.

Figure optionsDownload as PowerPoint slide

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Computers & Electrical Engineering - Volume 51, April 2016, Pages 168–183
نویسندگان
, , , , ,