کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
538586 871104 2011 12 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Capture-power-aware test data compression using selective encoding
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
Capture-power-aware test data compression using selective encoding
چکیده انگلیسی

Ever-increasing test data volume and excessive test power are two of the main concerns of VLSI testing. The “don’t-care” bits (also known as X-bits) in given test cube can be exploited for test data compression and/or test power reduction, and these techniques may contradict to each other because the very same X-bits are likely to be used for different optimization objectives. This paper proposes a capture-power-aware test compression scheme that is able to keep capture-power under a safe limit with low test compression ratio loss. Experimental results on benchmark circuits validate the effectiveness of the proposed solution.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Integration, the VLSI Journal - Volume 44, Issue 3, June 2011, Pages 205–216
نویسندگان
, , , , , ,