کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
542565 1450230 2016 13 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
BiLink: A high performance NoC router architecture using bi-directional link with double data rate
ترجمه فارسی عنوان
BiLink: معماری روتر NoC عملکرد بالا با استفاده از لینک دو طرفه با سرعت داده های دوگانه
کلمات کلیدی
شبکه بر چیپ (NoC)؛ لینک دو طرفه سرعت داده های دوگانه
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
چکیده انگلیسی


• A novel NoC architecture is proposed to support the double data rate transfer in a clock cycle.
• A reconfigurable structure is designed to cater for the uneven traffic pattern.
• Performance gain of the proposed architecture is evaluated by a cycle accurate simulator, using both synthetic and real traffic patterns.
• The area and power overhead is analyzed based on the hardware implementation of the architecture using TSMC 65 nm technology.

This paper presents a novel high performance Network-on-Chip (NoC) router architecture design using a bi-directional link with double data rate (BiLink). Ideally, it can provide as high as 2 times speed-up compared with the conventional NoC router. BiLink utilizes an extra link stage between routers and transmits two flits in one link per cycle using phase pipelining if both routers require to use the current link. To further increase the effective bandwidth, the direction of each link can be configured in every clock cycle to cater for different traffic loads from each side. Therefore, the data rate can be as high as 4 times compared with conventional NoC routers under uneven traffic. Centralized mode control scheme is implemented using a finite state machine (FSM) approach. Cycle-accurate simulations are carried out on both synthetic traffic patterns as well as real application benchmarks. Simulation results show that BiLink can provide as high as 90% and 250% speedup compared with conventional NoC routers for even and uneven traffic, respectively. 2X and 3X gains in throughput are obtained under even and uneven traffic, respectively, when compared with the conventional NoC router for the virtual channel flow control. The BiLink router architecture is synthesized using TSMC 65 nm process technology and it is shown that an area overhead of 28% over state-of-the-art bi-directional NoC is introduced while the critical path is about 9% higher than that of the conventional routers. Despite the overhead in critical path and power consumption, a 47.45% improvement of Energy-Delay-Product (EDP) is achieved by BiLink under high injection rate traffic.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Integration, the VLSI Journal - Volume 55, September 2016, Pages 30–42
نویسندگان
, , ,