کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
539832 871274 2013 11 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty
چکیده انگلیسی

Modern computing system applications or workloads can bring significant non-uniform temperature gradient on-chip, and hence can cause significant temperature uncertainty during clock-tree synthesis. Existing designs of clock-trees have to assume a given time-invariant worst-case temperature map but cannot deal with a set of temperature maps under a set of workloads. For robust clock-tree synthesis considering temperature uncertainty, this paper presents a new problem formulation: Stochastic PErturbation based Clock Optimization (SPECO). In SPECO algorithm, one nominal clock-tree is pre-synthesized with determined merging points. The impact from the stochastic temperature variation is modeled by perturbation (or small physical displacement) of merging points to offset the induced skews. Because the implementation cost is reduced but the design complexity is increased, the determination of optimal positions of perturbed merging points requires a computationally efficient algorithm.In this paper, one Non-Monte-Carlo (NMC) method is deployed to generate skew and skew variance by one-time analysis when a set of stochastic temperature maps is already provided. Moreover, one principal temperature–map analysis is developed to reduce the design complexity by clustering correlated merging points based on the subspace of the correlation matrix. As a result, the new merging points can be efficiently determined level by level with both skew and its variance reduced. The experimental results show that our SPECO algorithm can effectively reduce the clock-skew and its variance under a number of workloads with minimized wire-length overhead and computational cost.


► Stochastic clock-tree synthesis for thermal uncertainty due to variant workload.
► Thermal uncertainty described by non-Monte-Carlo stochastic orthogonal polynomial.
► Clock skew modeled as perturbation of merging points.
► Macromodel and principal perturbation analysis applied for complexity reduction.
► Significant reduction of clock-skew and variance within small runtime.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Integration, the VLSI Journal - Volume 46, Issue 1, January 2013, Pages 22–32
نویسندگان
, , , , , ,