کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
546317 871880 2011 8 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Low-energy GALS NoC with FIFO—Monitoring dynamic voltage scaling
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
Low-energy GALS NoC with FIFO—Monitoring dynamic voltage scaling
چکیده انگلیسی

In this paper we propose two dynamic voltage scaling (DVS) policies for a GALS NoC, which is designed based on fully asynchronous switch architectures. The first one is a history-based DVS policy, which exploits the link utilization and adjusts the voltages of different parts of the router among a few voltage levels. The second one is a FIFO-adaptive DVS, which uses two FIFO threshold levels for decision making. It judiciously adjusts supply voltage of each switch among only three voltage levels. The introduced architecture is simulated in 90 nm CMOS technology with accurate Spice simulations. Experimental results show that the FIFO-adaptive DVS not only lowers the implementation cost, but also in a 86 % saturated network achieves 36 % energy-delay product (ED) saving compared to the DVS policy based on link utilization.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microelectronics Journal - Volume 42, Issue 6, June 2011, Pages 889–896
نویسندگان
, , , ,