کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
548856 1450537 2016 13 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Choice of granularity for reliable circuit design using dynamic reconfiguration
ترجمه فارسی عنوان
انتخاب جزئیات برای طراحی مدار قابل اعتماد با استفاده از پیکربندی پویا
کلمات کلیدی
تحمل خطا، دانه دانه بودن، بهینه سازی منطقه، افزونگی مدولار، پیکربندی مجدد پویا
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
چکیده انگلیسی


• We analyze how the area and delay overheads change with the granule-size chosen.
• We calculate the optimum granularity that offers minimum area overhead.
• We extend the design approach making it capable of tolerating multiple faults.
• We incorporate hot-standby topology that makes the fault tolerant mechanism online.

While designing fault tolerant systems using dynamic reconfiguration, choice regarding the size of the granule influences the area, the power and the delay overheads. In this paper, attempt has been made to determine the optimum granule size that would incur minimum overhead vis-à-vis other design parameters such as the number of faults to be tolerated etc. In order to facilitate the design process, mathematical expressions have been provided showing the relationships among the area of single granule, the number of the external connections, the area of the reconfiguration multiplexers and the probability of failure of the system. Optimum granule-sizes in designing various fault tolerant circuits from ripple carry adder to CORDIC as well as Viterbi decoder have been derived.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microelectronics Reliability - Volume 63, August 2016, Pages 291–303
نویسندگان
, ,