کد مقاله کد نشریه سال انتشار مقاله انگلیسی نسخه تمام متن
545127 871809 2007 10 صفحه PDF دانلود رایگان
عنوان انگلیسی مقاله ISI
Spacer defined FinFET: Active area patterning of sub-20 nm fins with high density
کلمات کلیدی
موضوعات مرتبط
مهندسی و علوم پایه مهندسی کامپیوتر سخت افزارها و معماری
پیش نمایش صفحه اول مقاله
Spacer defined FinFET: Active area patterning of sub-20 nm fins with high density
چکیده انگلیسی

We present a method to obtain Si-fins with a critical dimension (CD) below 20 nm, separated by a minimum distance of 25 nm and connected by a common source/drain (S/D) pad. The method comprises of recursive spacer defined patterning to quadruple the line density of a 350 nm pitch resist pattern defined by 193 nm lithography. Spacer defined patterning is combined with resist based patterning to simultaneously define fins and S/D pads in a Silicon on Insulator (SOI) film. CD and Line Width Roughness (LWR) analysis was done on top down SEM images taken in a center die and in an edge die of a 200 mm wafer. The average CD is 17 nm in the center of the wafer and 18 nm at the edge. The LWR is 3 nm for both center and edge. Additional process steps to remove etch damage and round the top corner of the fin (i.e. oxidation followed by H2 anneal) further reduce the CD to 13 nm.

ناشر
Database: Elsevier - ScienceDirect (ساینس دایرکت)
Journal: Microelectronic Engineering - Volume 84, Issue 4, April 2007, Pages 609–618
نویسندگان
, , , , , , , , , , ,