• Daneshyari
  • Topics
    • Physical Sciences and Engineering
      Life Sciences
      Health Sciences
      Social Sciences and Humanities
Daneshyari Hardware and Architecture Journas Latest Articles

Hardware and Architecture Research Articles

Multi-layered resist process in nanoimprint lithography for high aspect ratio pattern
Fulltext Access 4 Pages 2006
Assembly of an aperture plate system for projection mask-less lithography
Fulltext Access 4 Pages 2006
Lossless high-speed data compression for optical interconnects as used in maskless lithography systems
Fulltext Access 4 Pages 2006
Projection mask-less lithography (PML2): First results from the multi beam blanking demonstrator
Fulltext Access 4 Pages 2006
Comparison of multilayer stamp concepts in UV–NIL
Fulltext Access 4 Pages 2006
Rapid and three-dimensional nanoimprint template fabrication technology using focused ion beam lithography
Fulltext Access 4 Pages 2006
Polarization effects in plasmonic masks
Fulltext Access 4 Pages 2006
Polyimide nanostructures fabricated by nanoimprint lithography and its applications
Fulltext Access 4 Pages 2006
Pattern replication of 100 nm to millimeter-scale features by thermal nanoimprint lithography
Fulltext Access 4 Pages 2006
Directly patterning metal films by nanoimprint lithography with low-temperature and low-pressure
Fulltext Access 4 Pages 2006
Novel hybrid mask mold for combined nanoimprint and photolithography technique
Fulltext Access 4 Pages 2006
Viscous flow simulation in nanoimprint using coarse-grain method
Fulltext Access 4 Pages 2006
Fabrication of multi-layered nano-channels by reversal imprint lithography
Fulltext Access 4 Pages 2006
Advances in microchannel amplifiers for maskless lithography
Fulltext Access 4 Pages 2006
Surface structuring of textile fibers using roll embossing
Fulltext Access 4 Pages 2006
AFM characterization of anti-sticking layers used in nanoimprint
Fulltext Access 4 Pages 2006
Minimization of residual layer thickness by using the optimized dispensing method in S-FILTM process
Fulltext Access 4 Pages 2006
Profile evolution during thermal nanoimprint
Fulltext Access 4 Pages 2006
Direct pattern transfer for sub-45 nm features using nanoimprint lithography
Fulltext Access 4 Pages 2006
Patterning nanoscale features using the 2-step NERIME nanolithography process
Fulltext Access 4 Pages 2006
Surface morphologies in polymers by irradiation with argon ions and consecutive swelling
Fulltext Access 4 Pages 2006
Limitations to low-voltage focused ion beam operation
Fulltext Access 4 Pages 2006
Axicon lens on optical fiber forming optical tweezers, made by focused ion beam milling
Fulltext Access 4 Pages 2006
Investigation of FIB assisted CoSi2 nanowire growth
Fulltext Access 4 Pages 2006
Ion beam imprinting system for nanofabrication
Fulltext Access 4 Pages 2006
Stress evolution during intermittent deposition of metallic thin films
Fulltext Access 4 Pages 2006
Fast soft recovery thyristors with axial lifetime profile fabricated using iridium diffusion
Fulltext Access 4 Pages 2006
Photoluminescence study of type-II GaAs quantum well wires grown on nano-faced (3 1 1)A surface: Quasi-1D exciton observation?
Fulltext Access 4 Pages 2006
Technology and properties of a vector hall sensor
Fulltext Access 4 Pages 2006
Morphological evolution and lateral ordering of uniform SiGe/Si(0 0 1) islands
Fulltext Access 4 Pages 2006
Dielectric enhancement in interface-modified BaTiO3/SrTiO3 multilayered films prepared by pulsed laser deposition
Fulltext Access 4 Pages 2006
Extraction of the floating-gate capacitive couplings for drain turn-on estimation in discrete-trap memories
Fulltext Access 4 Pages 2006
Influence of temperature on the etching rate of SiO2 in CF4 + O2 plasma
Fulltext Access 4 Pages 2006
Suppression of carbon depletion from carbon-doped low-k dielectric layers during fluorocarbon based plasma etching
Fulltext Access 4 Pages 2006
Lateral crystallization of amorphous silicon by germanium seeding
Fulltext Access 4 Pages 2006
Improved electrical and reliability performance of 65 nm interconnects with new barrier integration schemes
Fulltext Access 4 Pages 2006
Physical characterization by valence electron energy loss spectroscopy
Fulltext Access 4 Pages 2006
Characterization of post-copper CMP surface with scanning probe microscopy: Part II: Surface potential measurements with scanning Kelvin probe force microscopy
Fulltext Access 4 Pages 2006
Possibility to form an ultrahigh packed fine pit and dot arrays for future storage using EB writing
Fulltext Access 4 Pages 2006
Study of silicide contacts to SiGe source/drain
Fulltext Access 4 Pages 2006
Redistribution of arsenic during the reaction of nickel thin films with silicon at relatively high temperature: Role of agglomeration
Fulltext Access 4 Pages 2006
Laser trimming of amorphous Ta42Si13N45 thin films with ultrashort pulses
Fulltext Access 4 Pages 2006
Decreasing step coverage of self-ionized plasma sputtered copper seed layer with target lifetime
Fulltext Access 4 Pages 2006
Study of void growth in 120 nm copper lines by in situ SEM
Fulltext Access 4 Pages 2006
Effect of frictional force vector on delamination in Cu/low-k integration
Fulltext Access 4 Pages 2006
Removal of etching/ashing residues and ashing/wet-clean damage in porous silica low-k films
Fulltext Access 4 Pages 2006
Effect of moisture adsorption on the properties of porous-silica ultralow-k films
Fulltext Access 4 Pages 2006
Atomic layer deposited WNxCy films growth on SiC surfaces
Fulltext Access 4 Pages 2006
The quest of porous ELK materials for high performance logic technologies
Fulltext Access 4 Pages 2006
A fast development simulation algorithm for discrete resist models
Fulltext Access 4 Pages 2006
Raman scattering characterization on SiC
Fulltext Access 4 Pages 2006
Hetero- and homo-epitaxial growth of 3C-SiC for MOS-FETs
Fulltext Access 4 Pages 2006
Unipolar SiC power devices and elevated temperature
Fulltext Access 4 Pages 2006
Characterization of transient currents in HfO2 capacitors in the short timescale
Fulltext Access 4 Pages 2006
Table of Contents
Fulltext Access 4 Pages 2006
Unusual defects in silicon carbide thin films grown by multiple or interrupted growth technique
Fulltext Access 4 Pages 2006
Design of wideband hybrid silicon carbide single-stage power amplifier
Fulltext Access 4 Pages 2006
Defect-engineering in SiC by ion implantation and electron irradiation
Fulltext Access 4 Pages 2006
Dislocation processes during SiC bulk crystal growth
Fulltext Access 4 Pages 2006
SiC-DACFET
Fulltext Access 4 Pages 2006
Analysis of minority carrier diffusion length in SiC toward high quality epitaxial growth
Fulltext Access 4 Pages 2006
A new design of the SiC light-activated Darlington power transistor
Fulltext Access 4 Pages 2006
Unterminated 4H-SiC Schottky barrier diodes with novel HfNxBy electrodes
Fulltext Access 4 Pages 2006
Numerical modeling of SiC–CVD in a horizontal hot-wall reactor
Fulltext Access 4 Pages 2006
A three-region analytical model for short-channel SiC MESFETs
Fulltext Access 4 Pages 2006
Improved performance of SiC MESFETs using double-recessed structure
Fulltext Access 4 Pages 2006
In situ etch treatment of bulk surface for epitaxial layer growth optimization
Fulltext Access 4 Pages 2006
Analysis of bulk and surface components of leakage current in 4H-SiC PiN MESA diodes
Fulltext Access 4 Pages 2006
Investigation of 4H-SiC MOS capacitors annealed in diluted N2O at different temperatures
Fulltext Access 4 Pages 2006
Investigations on high temperature polyimide potentialities for silicon carbide power device passivation
Fulltext Access 4 Pages 2006
Low-temperature growth of polycrystalline SiC by catalytic CVD from monomethylsilane
Fulltext Access 4 Pages 2006
Employing a detailed compositional analysis to develop a low defect Mo/Si deposition tool and process for EUVL mask blanks
Fulltext Access 4 Pages 2006
Low-energy electron-beam lithography of hydrogen silsesquioxane
Fulltext Access 4 Pages 2006
Direct-write deposition with a focused electron beam
Fulltext Access 4 Pages 2006
Exposure optimization in high-resolution e-beam lithography
Fulltext Access 4 Pages 2006
Optimisation of HSQ e-beam lithography for the patterning of FinFET transistors
Fulltext Access 4 Pages 2006
Three dimensional HSQ structures formed using multiple low energy electron beam lithography
Fulltext Access 4 Pages 2006
Flying phase mask for the printing of long submicron-period stitchingless gratings
Fulltext Access 4 Pages 2006
Double line shrink lithography at k1 = 0.16
Fulltext Access 4 Pages 2006
Performance of gas jet type Z-pinch plasma light source for EUV lithography
Fulltext Access 4 Pages 2006
EUV multilayer optics
Fulltext Access 4 Pages 2006
Tin based laser-produced plasma source development for EUVL
Fulltext Access 4 Pages 2006
EUV phase mask engineering based on image optimisation
Fulltext Access 4 Pages 2006
A new approach for actinic defect inspection of EUVL multilayer mask blanks: Standing wave photoemission electron microscopy
Fulltext Access 4 Pages 2006
The role of ambient hydrocarbon species to reduce oxidation in Ru capping layers for EUVL optics mirrors
Fulltext Access 4 Pages 2006
Resonantly enhanced addressing of a spatial light modulator micro-mirror array
Fulltext Access 4 Pages 2006
Adhesion improvement of ArF resist pattern depending on BARC material
Fulltext Access 4 Pages 2006
Removal mechanism of nano-bubble with AFM for immersion lithography
Fulltext Access 4 Pages 2006
A study of 193-nm immersion lithography using novel high refractive index fluids
Fulltext Access 4 Pages 2006
Characterization of stray light of ArF lithographic tools: Modeling of power spectral density of an optical pupil
Fulltext Access 4 Pages 2006
MNE 2005 Committees
Fulltext Access 4 Pages 2006
Scaling potential of pin-type 3-D SBT ferroelectric capacitors integrated in 0.18 μm CMOS technology
Fulltext Access 5 Pages 2006
Auger electron spectroscopy study of reactive ion etched silicon carbide
Fulltext Access 5 Pages 2006
Normally-off trench JFET technology in 4H silicon carbide
Fulltext Access 5 Pages 2006
Intrinsic defects in high-purity SiC
Fulltext Access 5 Pages 2006
Device processing and characterisation of high temperature silicon carbide Schottky diodes
Fulltext Access 5 Pages 2006
Bulk growth of single crystal silicon carbide
Fulltext Access 5 Pages 2006
Fabrication and characterization of 4H-SiC planar MESFETs
Fulltext Access 5 Pages 2006
Optical properties of cubic SiC grown on Si substrate by chemical vapor deposition
Fulltext Access 5 Pages 2006
SiC junction-controlled transistors
Fulltext Access 5 Pages 2006
<< < 221 222 223 224 225 > >>
Related Topics
Earthquake Articles
1351 Papers
CAM Articles
1272 Papers
Morphogenesis Articles
1065 Papers
Earthquakes Articles
770 Papers
ICT Articles
638 Papers
Artificial Intelligence Articles
Computational Theory and Mathematics Articles
Computer Graphics and Computer-Aided Design Articles
Computer Networks and Communications Articles
Computer Science (General) Articles
Computer Science Applications Articles
Computer Vision and Pattern Recognition Articles
Hardware and Architecture Articles
Human-Computer Interaction Articles
Information Systems Articles
Signal Processing Articles
Software Articles
All Topics
Related Journals
Artificial Intelligence Journals
Computational Theory and Mathematics Journals
Computer Graphics and Computer-Aided Design Journals
Computer Networks and Communications Journals
Computer Science (General) Journals
Computer Science Applications Journals
Computer Vision and Pattern Recognition Journals
Hardware and Architecture Journals
Human-Computer Interaction Journals
Information Systems Journals
Signal Processing Journals
Software Journals
International Journal of Critical Infrastructure Protection Journal
Entertainment Computing Journal
Information Systems Journal
Future Generation Computer Systems Journal
Journal of Parallel and Distributed Computing Journal
Digital Investigation Journal
Journal of Systems Architecture Journal
Microprocessors and Microsystems Journal
Nano Communication Networks Journal
Performance Evaluation Journal
Pervasive and Mobile Computing Journal
Physical Communication Journal
Parallel Computing Journal
Card Technology Today Journal
Displays Journal
Integration, the VLSI Journal Journal
Microelectronic Engineering Journal
Microelectronics Journal Journal
Microelectronics Reliability Journal

Daneshyari.com

دسترسی سریع

  • صفحه اصلی دانشیاری
  • دسته بندی موضوعی مقالات
  • مقالات ترجمه شده
  • سفارش ترجمه مقاله
  • سفارش تولید محتوا
  • تولید محتوا

ارتباط

  • ورود
  • عضویت
  • تماس و پشتیبانی
  • تبلیغات

English Website

  • Home
  • Physical Sciences and Engineering
  • Life Sciences
  • Health Sciences
  • Social Sciences and Humanities