Fabrication and characterization of M-I-FIS ferroelectric-gate structures using HfAlOx buffer layer Fulltext Access 4 Pages 2007
Performance and reliability of ultra-thin oxide nMOSFETs under variable body bias Fulltext Access 4 Pages 2007
Charge pumping spectroscopy: HfSiON defect study after substrate hot electron injection Fulltext Access 4 Pages 2007
Oxygen vacancy induced charge trapping and positive bias temperature instability in HfO2nMOSFET Fulltext Access 4 Pages 2007
A consistent model for the hard breakdown distribution including digital soft breakdown: the noble art of area scaling Fulltext Access 4 Pages 2007
HCI degradation model based on the diffusion equation including the MVHR model Fulltext Access 4 Pages 2007
A physics-based deconstruction of the percolation model of oxide breakdown Fulltext Access 4 Pages 2007
Dielectric quality and reliability of FUSI/HfSiON devices with process induced strain Fulltext Access 4 Pages 2007
Electrical and material property enhancement in HfTaSiON-gated MOS devices by tuning Hf composition Fulltext Access 4 Pages 2007
Improvements of ozone surface treatment on the electrical characteristics and reliability in HfO2 gate stacks Fulltext Access 4 Pages 2007
Thermal-stability improvement of LaON thin film formed using nitrogen radicals Fulltext Access 4 Pages 2007
Understanding of the thermal stability of the hafnium oxide/TiN stack via 2 “high k” and 2 metal deposition techniques Fulltext Access 4 Pages 2007
Reduction of the anomalous VT behavior in MOSFETs with high-κ/metal gate stacks Fulltext Access 4 Pages 2007
Mobility extraction using RFCV for 80 nm MOSFET with 1 nm EOT HfSiON/TiN Fulltext Access 4 Pages 2007
The influence of HfO2 film thickness on the interface state density and low field mobility of n channel HfO2/TiN gate MOSFETs Fulltext Access 4 Pages 2007
Performance enhancement of Poly-Si/TiN/SiON based pMOSFETs by addition of an aluminum oxide (AlO) capping layer Fulltext Access 4 Pages 2007
0.6nm-EOT high-k gate stacks with HfSiOx interfacial layer grown by solid-phase reaction between HfO2 and Si substrate Fulltext Access 4 Pages 2007
Modulation of the effective work function of fully-silicided (FUSI) gate stacks Fulltext Access 4 Pages 2007
Recent advances and current challenges in the search for high mobility band-edge high-k/metal gate stacks Fulltext Access 4 Pages 2007
A mask-free method of patterned porous silicon formation by a localized electrical field Fulltext Access 4 Pages 2007
Effects of background doping concentration on electrostatic discharge protection of high voltage operating extended drain N-type MOS device Fulltext Access 4 Pages 2007
High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs Fulltext Access 4 Pages 2007
Atomic - vapour - deposited HfO2 and Sr4Ta2O9 layers for metal-insulator-metal applications Fulltext Access 4 Pages 2007
Comparing GaAs and In0.15Ga0.85As as channel material for alternative substrate CMOS Fulltext Access 4 Pages 2007
Impact of weak Fermi-level pinning on the correct interpretation of III-V MOS C-V and G-V characteristics Fulltext Access 4 Pages 2007
In-situ MBE Si as passivating interlayer on GaAs for HfO2 MOSCAP’s: effect of GaAs surface reconstruction Fulltext Access 4 Pages 2007
Dose rate dependence of the back gate degradation in thin gate oxide PD-SOI MOSFETs by 2-MeV electron irradiation Fulltext Access 4 Pages 2007
Low temperature influence on the uniaxially strained FD SOI nMOSFETs behavior Fulltext Access 4 Pages 2007
Process-variation- and random-dopants-induced threshold voltage fluctuations in nanoscale CMOS and SOI devices Fulltext Access 4 Pages 2007
Comparison of stressed Poly-Si and TiN gated Hf-based NMOSFETs characteristics, modeling and their impact on circuits performance Fulltext Access 4 Pages 2007
Fin-height controlled TiN-gate FinFET CMOS based on experimental mobility Fulltext Access 4 Pages 2007
Concurrent HCI-NBTI: worst case degradation condition for 65 nm p-channel SOI MOSFETs Fulltext Access 4 Pages 2007
Electrical stress on irradiated thin gate oxide partially depleted SOI nMOSFETs Fulltext Access 4 Pages 2007
The impact of mobility enhanced technology on device performance and reliability for sub-90 nm SOI nMOSFETs Fulltext Access 4 Pages 2007
Electrical and reliability characterization of metal-gate/HfO2/Ge FET’s with Si passivation Fulltext Access 4 Pages 2007
High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs Fulltext Access 4 Pages 2007
Interrelationship between electrical and physical properties of subcritical Si-Ge layers grown directly on silicon for short channel high-performance pMOSFETs Fulltext Access 4 Pages 2007
Fabrication of three-dimensional nanoimprint mold using inorganic resist in low accelerating voltage electron beam lithography Fulltext Access 4 Pages 2007
Actinic inspection of EUVL mask blank defects by photoemission electron microscopy: Effect of inspection wavelength variation Fulltext Access 4 Pages 2007
Thermal imprint lithography using sub-micron sized nickel template coated with thin SiO2 layer Fulltext Access 4 Pages 2007
3D structural templates for UV-NIL fabricated with gray-scale lithography Fulltext Access 4 Pages 2007
The UV-nanoimprint lithography equipment with multi-head imprinting unit for sub-50 nm half-pitch patterns Fulltext Access 4 Pages 2007
Fingerprint stamp for evaluation of polymer flow time constants in thermal nanoimprint Fulltext Access 4 Pages 2007
3D structures for UV-NIL template fabrication with grayscale e-beam lithography Fulltext Access 4 Pages 2007
Embedded nano channels fabricated by non-selective reverse contact UV nanoimprint lithography technique Fulltext Access 4 Pages 2007
Nanoimprinted reflecting gratings for long-range surface plasmon polaritons Fulltext Access 4 Pages 2007
Coarse-grain method for modeling of stamp and substrate deformation in nanoimprint Fulltext Access 4 Pages 2007
Structure size dependent recovery of thin polystyrene layers in thermal imprint lithography Fulltext Access 4 Pages 2007
Morphological and electrical study of FIB deposited amorphous W nanowires Fulltext Access 4 Pages 2007
Fabricating nanoscale device features using the 2-step NERIME nanolithography process Fulltext Access 4 Pages 2007
Focused-ion-beam direct structuring of fused silica for fabrication of nano-imprinting templates Fulltext Access 4 Pages 2007
Analysis of pattern-dependent image placement of single-membrane stencil masks for electron-beam lithography Fulltext Access 4 Pages 2007
Patterning of Ge2Sb2Te5 phase change material using UV nano-imprint lithography Fulltext Access 4 Pages 2007
Properties of ALD HfTaxOy high-k layers deposited on chemical silicon oxide Fulltext Access 4 Pages 2007
Integrated monitoring of ULK dielectrics out-gassing and measurement of pore sealing efficiency by residual gas analysis technique Fulltext Access 4 Pages 2007
Surface properties restoration and passivation of high porosity ultra low-k dielectric (k ∼ 2.3) after direct-CMP Fulltext Access 4 Pages 2007
Thermal stability and gap-fill properties of spin-on MSQ low-k dielectrics Fulltext Access 4 Pages 2007
Stress evolution during Ni–Si compound formation for fully silicided (FUSI) gates Fulltext Access 4 Pages 2007
Comparative study of e-beam resist processes at different development temperature Fulltext Access 4 Pages 2007
Simulation and analysis for microstructure profile of optical lithography based on SU-8 thick resist Fulltext Access 4 Pages 2007
Electron beam lithography at 10 keV using an epoxy based high resolution negative resist Fulltext Access 4 Pages 2007
Fabrication of vertical optical interconnecting structure using photoresist reflowed mold structures Fulltext Access 4 Pages 2007
Fogging effect correction method in high-resolution electron beam lithography Fulltext Access 4 Pages 2007
Fast electron resist contrast determination by “fitting before measurement” approach Fulltext Access 4 Pages 2007
Improving the sensitivity and line edge roughness in inorganic positive electron beam resist Fulltext Access 4 Pages 2007
Micro/nano-heater integrated cantilevers for micro/nano-lithography applications Fulltext Access 4 Pages 2007
Surface-plasmon polariton interference nanolithography based on end-fire coupling Fulltext Access 4 Pages 2007
CD control of direct versus complementary exposure for shaped beam writers and its correlation to the local registration error Fulltext Access 4 Pages 2007
Theoretical investigation of pattern printability of oxidized Si and Ru capping models for extreme ultraviolet lithography (EUVL) Fulltext Access 4 Pages 2007