• Daneshyari
  • Topics
    • Physical Sciences and Engineering
      Life Sciences
      Health Sciences
      Social Sciences and Humanities
Daneshyari Hardware and Architecture Journas Latest Articles

Hardware and Architecture Research Articles

Surface micromachined RF MEMS variable capacitor
Fulltext Access 5 Pages 2007
InxGa1−xN refractive index calculations
Fulltext Access 5 Pages 2007
Ag(Ta,Nb)O3 thin-film interdigital capacitors for microwave applications
Fulltext Access 5 Pages 2007
Investigation of Si/SiGe/Si heterostructure implanted by H ion and annealed in vacuum and dry O2 ambient
Fulltext Access 5 Pages 2007
A p+/p-buffer/n-epi cmos compatible high-side RESURF LDMOS transistor for Power IC applications
Fulltext Access 5 Pages 2007
Study on new structure uncooled a-Si microbolometer for infrared detection
Fulltext Access 5 Pages 2007
Nanoindentation-induced phase transformation in crystalline silicon and relaxed amorphous silicon
Fulltext Access 5 Pages 2007
A chalcogenide-based device with potential for multi-state storage
Fulltext Access 5 Pages 2007
Characteristics of a micromachined piezovalve combined with a multilayer ceramic actuator
Fulltext Access 5 Pages 2007
Thermal properties and thermoelectric microdevices with InN thin films
Fulltext Access 5 Pages 2007
Investigation of Zr–N thin films for use as diffusion barrier in Cu metallization
Fulltext Access 5 Pages 2007
Fractal analysis of InGaN self-assemble quantum dots grown by MOCVD
Fulltext Access 5 Pages 2007
An ultra-high level second-order nonlinear optical susceptibility in strained asymmetric GaN–AlGaN–AlN quantum wells: Towards all-optical devices and systems
Fulltext Access 5 Pages 2007
Parametric properties of the electron spin relaxation in InAs quantum dots
Fulltext Access 5 Pages 2007
A systematic dry etching process for profile control of quantum dots and nanoconstrictions
Fulltext Access 5 Pages 2007
Fabrication of a 3-dimensional microstructure by sequential anodic oxidation (SAO)
Fulltext Access 5 Pages 2007
An optimal silicidation technique for electrostatic discharge protection sub-100 nm CMOS devices in VLSI circuit
Fulltext Access 5 Pages 2007
Frequency and temperature dependent dielectric properties of Al/Si3N4/p-Si(1 0 0) MIS structure
Fulltext Access 5 Pages 2007
Hot embossing of micrographic elements in polypropylene
Fulltext Access 5 Pages 2007
Remote plasma etching of titanium nitride using NF3/argon and chlorine mixtures for chamber clean applications
Fulltext Access 5 Pages 2007
Impact of line-edge roughness on resistance and capacitance of scaled interconnects
Fulltext Access 5 Pages 2007
Microfabrication-based nanomechanical laboratory for testing the ductility of submicron aluminium films
Fulltext Access 5 Pages 2007
Platinum chemical mechanical polishing (CMP) characteristics for high density ferroelectric memory applications
Fulltext Access 5 Pages 2007
Copper stress migration at narrow metal finger with wide lead
Fulltext Access 5 Pages 2007
Reliability of nickel flip chip bumps with a tin–silver encapsulation on a copper/tin–silver substrate during the bonding process
Fulltext Access 5 Pages 2007
Hierarchical roughness makes superhydrophobic states stable
Fulltext Access 5 Pages 2007
Macro-modeling for the compact simulation of single electron transistor using SIMPLORER
Fulltext Access 5 Pages 2007
Review of the wafer stage for nanoimprint lithography
Fulltext Access 5 Pages 2007
850 nm wavelength range nanoscale resonant optical filter fabrication using standard microelectronics techniques
Fulltext Access 5 Pages 2007
Investigation of polishing characteristics of shallow trench isolation chemical mechanical planarization with different types of slurries
Fulltext Access 5 Pages 2007
Deposition thickness based high-throughput nano-imprint template
Fulltext Access 5 Pages 2007
Combined shear-force/field emission microscope for local electrical surface investigation
Fulltext Access 5 Pages 2007
On the reliability of scanning probe based electrostatic force measurements
Fulltext Access 5 Pages 2007
Reliability of SiO2 and high-k gate insulators: A nanoscale study with conductive atomic force microscopy
Fulltext Access 5 Pages 2007
Local indentation modulus characterization via two contact resonance frequencies atomic force acoustic microscopy
Fulltext Access 5 Pages 2007
Quantitative analysis of thin film compositions using EFTEM combined with RBS and ERDA
Fulltext Access 5 Pages 2007
Breakdown kinetics at nanometer scale of innovative MOS devices by conductive atomic force microscopy
Fulltext Access 5 Pages 2007
Confocal Raman and TEM measurements at the same area on nanoparticles
Fulltext Access 5 Pages 2007
Electrical performance, reliability and microstructure of sub-45 nm copper damascene lines fabricated with TEOS backfill
Fulltext Access 5 Pages 2007
A comparative study on a high aspect ratio contact hole etching in UFC- and PFC-containing plasmas
Fulltext Access 5 Pages 2007
Multi-layer microstructure fabrication by combining bulk silicon micromachining and UV-LIGA technology
Fulltext Access 5 Pages 2007
Temperature and field-dependence of hopping conduction in organic semiconductors
Fulltext Access 5 Pages 2007
Characterization of electrically active defects in high-k gate dielectrics by using low frequency noise and charge pumping measurements
Fulltext Access 5 Pages 2007
Dual layer SrTiO3/HfO2 gate dielectric for aggressively scaled band-edge nMOS devices
Fulltext Access 5 Pages 2007
Application of group electronegativity concepts to the effective work functions of metal gate electrodes on high-κ gate oxides
Fulltext Access 5 Pages 2007
III-V field-effect transistors for low power digital logic applications
Fulltext Access 5 Pages 2007
Performance assessment of (1 1 0) p-FET high-κ/MG: is it mobility or series resistance limited?
Fulltext Access 5 Pages 2007
Hot-carrier damage from high to low voltage using the energy-driven framework
Fulltext Access 5 Pages 2007
Non-classical hot-electron mechanism and its implications on the reliability and scalability of the high-κ dielectric N-MOS Flash memory cell
Fulltext Access 5 Pages 2007
SrHfO3 as gate dielectric for future CMOS technology
Fulltext Access 5 Pages 2007
Oriented nanometer surface morphologies by thermal relaxation of locally cross-linked and stretched polymer samples
Fulltext Access 5 Pages 2007
Comprehensive defect analysis methodology for nano imprint lithography
Fulltext Access 5 Pages 2007
Development of a novel, low-viscosity UV-curable polymer system for UV-nanoimprint lithography
Fulltext Access 5 Pages 2007
The use of automatic demolding in nanoimprint lithography processes
Fulltext Access 5 Pages 2007
Optimization of demolding temperature for throughput improvement of nanoimprint lithography
Fulltext Access 5 Pages 2007
Investigation of capillary bridges growth in NIL process
Fulltext Access 5 Pages 2007
Fast heating and cooling in nanoimprint using a spring-loaded adapter in a preheated press
Fulltext Access 5 Pages 2007
High-resolution fused silica mold fabrication for UV-nanoimprint
Fulltext Access 5 Pages 2007
Improved mold fabrication for the definition of high quality nanopatterns by Soft UV-Nanoimprint lithography using diluted PDMS material
Fulltext Access 5 Pages 2007
Replication of an UV-NIL stamp using DLC coating
Fulltext Access 5 Pages 2007
Nanoimprinting lithography on 200 mm wafers for optical applications
Fulltext Access 5 Pages 2007
High density patterns fabricated in SU-8 by UV curing nanoimprint
Fulltext Access 5 Pages 2007
A new way of manufacturing high resolution optical encoders by nanoimprint lithography
Fulltext Access 5 Pages 2007
Fabrication of a polymeric photonic crystal wavelength splitter using ultra violet embossing technology
Fulltext Access 5 Pages 2007
Reducing the resistivity of electron and ion beam assisted deposited Pt
Fulltext Access 5 Pages 2007
Sub-5 nm FIB direct patterning of nanodevices
Fulltext Access 5 Pages 2007
High resolution variable-shaped beam direct write
Fulltext Access 5 Pages 2007
Nanotopography produced by using a vacuum pin chuck and the flattening ability around its periphery
Fulltext Access 5 Pages 2007
Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithography
Fulltext Access 5 Pages 2007
Analysis of the diffraction pattern for optimal assist feature placement
Fulltext Access 5 Pages 2007
The optical properties of monolayer amorphous Al2O3–TiO2 composite films used as HT-APSM blanks for ArF immersion lithography
Fulltext Access 5 Pages 2007
20 nm Line/space patterns in HSQ fabricated by EUV interference lithography
Fulltext Access 5 Pages 2007
Integration of HfxTayN metal gate with SiO2 and HfOxNy gate dielectrics for MOS device applications
Fulltext Access 5 Pages 2007
Evaluation of electrical and optical properties of indium tin oxide thin film using chemical mechanical polishing technique
Fulltext Access 5 Pages 2007
Tuning the dielectric properties of hafnium silicate films
Fulltext Access 5 Pages 2007
Impact of surface preparation on nickel–platinum alloy silicide phase formation
Fulltext Access 5 Pages 2007
Fatigue of damascene copper lines under cyclic electrical loading
Fulltext Access 5 Pages 2007
Effects of nodule treatment of rolled copper on the mechanical properties of the flexible copper-clad laminate
Fulltext Access 5 Pages 2007
Hybrid punch through approach to address electroless related integration issues of hybrid CoWP/SiCN barriers
Fulltext Access 5 Pages 2007
Evaluation of a PECVD advanced barrier (k = 3.7) for 32 nm CMOS technology and below
Fulltext Access 5 Pages 2007
Damageless Cu chemical mechanical polishing for porous SiOC/Cu interconnects
Fulltext Access 5 Pages 2007
Seed layer enhancement by electrochemical deposition: The copper seed solution for beyond 45 nm
Fulltext Access 5 Pages 2007
An investigation of ultra low-k dielectrics with high thermal stability for integration in memory devices
Fulltext Access 5 Pages 2007
Characterization of CMOS sub-65 nm metallic contact by laser scattering: Thermal stability of Ni(Si1−xGex)
Fulltext Access 5 Pages 2007
Thermal stability of NiPt- and Pt-silicide contacts on SiGe source/drain
Fulltext Access 5 Pages 2007
Improved thermal stability of Ni-silicides on Si:C epitaxial layers
Fulltext Access 5 Pages 2007
Roughness improvement of the CoSi2/Si-interface for an application as buried silicide
Fulltext Access 5 Pages 2007
Silicide pre-clean effects on NiPtSi thermal stability for 65 nm technologies and beyond
Fulltext Access 5 Pages 2007
New materials of spin-on organic hardmask for sub-70 nm devices
Fulltext Access 5 Pages 2007
NiSi contact metallization using electroless Ni deposition on Pd-activated self-assembled monolayer (SAM) on p-type Si(1 0 0)
Fulltext Access 5 Pages 2007
Comparative study of novel barrier layers in ULSI copper interconnects
Fulltext Access 5 Pages 2007
Comparison of the agglomeration behavior of Ag(Al) films and Ag(Au) films
Fulltext Access 5 Pages 2007
Creation mechanism of metal depression in sputtering process for aluminum interconnects
Fulltext Access 5 Pages 2007
Electroless deposition and electrical resistivity of sub-100 nm Cu films on SAMs: State of the art
Fulltext Access 5 Pages 2007
Study of the plasma and cleaning impact on a CoWPB material
Fulltext Access 5 Pages 2007
CoWBP capping barrier layer for sub 90 nm Cu interconnects
Fulltext Access 5 Pages 2007
Photosensitive poly(dimethylsiloxane) materials for microfluidic applications
Fulltext Access 5 Pages 2007
Improved properties of epoxy nanocomposites for specific applications in the field of MEMS/NEMS
Fulltext Access 5 Pages 2007
Suppression of pinhole defects in fullerene molecular electron beam resists
Fulltext Access 5 Pages 2007
Patterning capability of new molecular resist in EUV lithography
Fulltext Access 5 Pages 2007
<< < 209 210 211 212 213 > >>
Related Topics
Earthquake Articles
1351 Papers
CAM Articles
1272 Papers
Morphogenesis Articles
1065 Papers
Earthquakes Articles
770 Papers
ICT Articles
638 Papers
Artificial Intelligence Articles
Computational Theory and Mathematics Articles
Computer Graphics and Computer-Aided Design Articles
Computer Networks and Communications Articles
Computer Science (General) Articles
Computer Science Applications Articles
Computer Vision and Pattern Recognition Articles
Hardware and Architecture Articles
Human-Computer Interaction Articles
Information Systems Articles
Signal Processing Articles
Software Articles
All Topics
Related Journals
Artificial Intelligence Journals
Computational Theory and Mathematics Journals
Computer Graphics and Computer-Aided Design Journals
Computer Networks and Communications Journals
Computer Science (General) Journals
Computer Science Applications Journals
Computer Vision and Pattern Recognition Journals
Hardware and Architecture Journals
Human-Computer Interaction Journals
Information Systems Journals
Signal Processing Journals
Software Journals
International Journal of Critical Infrastructure Protection Journal
Entertainment Computing Journal
Information Systems Journal
Future Generation Computer Systems Journal
Journal of Parallel and Distributed Computing Journal
Digital Investigation Journal
Journal of Systems Architecture Journal
Microprocessors and Microsystems Journal
Nano Communication Networks Journal
Performance Evaluation Journal
Pervasive and Mobile Computing Journal
Physical Communication Journal
Parallel Computing Journal
Card Technology Today Journal
Displays Journal
Integration, the VLSI Journal Journal
Microelectronic Engineering Journal
Microelectronics Journal Journal
Microelectronics Reliability Journal

Daneshyari.com

دسترسی سریع

  • صفحه اصلی دانشیاری
  • دسته بندی موضوعی مقالات
  • مقالات ترجمه شده
  • سفارش ترجمه مقاله
  • سفارش تولید محتوا
  • تولید محتوا

ارتباط

  • ورود
  • عضویت
  • تماس و پشتیبانی
  • تبلیغات

English Website

  • Home
  • Physical Sciences and Engineering
  • Life Sciences
  • Health Sciences
  • Social Sciences and Humanities